dots bg

Physical Design Internship

Explore VLSI Physical Design Internship opportunities at Maven Silicon for hands-on expertise in real-world projects.

4.3
(1 rating)
Course Instructor Sivakumar P R
To purchase this course, please contact the admin
dots bg

Course Overview

Embark on the Physical Design Internship, a comprehensive program guiding you through the intricacies of VLSI physical design. From Linux basics to detailed exercises in Placement, DFT, Floorplanning, Routing, Synthesis, and PDKs, gain practical insights. Conclude with an exploration of Timing Constraints, a module test, and a broad overview of Physical Design.

Course Curriculum

8 Subjects

Linux Operating System

1 Exercises 7 Learning Materials

Introduction to Linux Operating System & vi Text Editor

Introduction to Linux Operating System

Video
1:15:00

vi Text Editor

Video
31:00

Knowledge check: Linux

Exercise

Labs User Guide & VPN Configuration Guide

Linux Labs User Guide

PDF

VPN_Configuration_Guide

PDF

Linux Lab Manual

PDF

Linux Lab 1 : Solution

Video
8:26

Linux Lab 2 : Solution

Video
5:15

Introduction to VLSI

1 Exercises 5 Learning Materials

Introduction to VLSI & ASIC Design Flow

Why SoC?

Video
17:12

Mobile SoC Architecture

Video
9:35

SoC Design Process

Video
19:00

Moores Law, ASIC Vs FPGA

Video
15:10

VLSI Design Flow

Video
35:32

Knowledge Check : Introduction to VLSI

Exercise

Placement

1 Exercises 8 Learning Materials

Placement

Introduction to Placement

Video
4:14

Placement Flow

Video
7:10

Routing Resources and Congestion

Video
4:30

Timing Vs Congestion Driven Placement

Video
5:47

Floorplan settings to reduce congestion

Video
2:26

HFNS Gate Sizing and Cloning Scan chain Reordering

Video
3:55

Knowledge Check : Placement

Exercise

Reference Book : Placement

Placement

PDF

Physical Only Cells

PDF

Design for Testability

1 Exercises 20 Learning Materials

DFT Theory

Introduction to DFT

Video
11:15

Types of Testing

Video
8:24

Basic Testing Principles

Video
11:39

Fault Collapsing

Video
12:27

What is DFT?

Video
10:50

DFT Techniques - Ad-hoc Techniques

Video
10:15

DFT Techniques- Structured Techniques

Video
9:15

BIST & boundary Scan

Video
12:8

Introduction to BIST, LBIST & MBIST

Video
19:59

Knowledge check: DFT

Exercise

DFT Reference Book

DFT Reference Book

PDF

Tessent Shell - Introduction

Introduction to Tessent Shell

Video
3:37

System Modes

Video
3:13

TSDB

Video
5:23

DFT lab Solutions

Lab 01 : MBIST

Video
16:16

Lab 02 : DRC

Video
16:56

Lab 03 : Boundary Scan

Video
8:49

Lab 04 : Scan Chain

Video
9:23

Lab 05 : IJTAG

Video
16:40

Lab 06 : EDT

Video
10:6

DFT Lab Manual

PDF

Floorplanning

2 Exercises 14 Learning Materials

Floorplanning

What is Floorplanning

Video
4:37

Die Size Estimation and Utilization

Video
2:45

Aspect Ratio

Video
4:28

Initialize Floorplanning

Video
1:52

Macros

Video
3:39

Good and Bad Floorplan

Video
3:20

Placement Blockages

Video
3:46

Guidelines for Good Floorplan

Video
1:43

Knowledge Check : Floorplan

Exercise

Powerplanning

Powerplanning Introduction

Video
5:5

Power Network Synthesis

Video
10:40

Running PNS

Video
5:4

Power Calculations

Video
2:48

Reference Book : Floorplanning

Ref: FloorPlanning

PDF

Reference Book : Powerplanning

Power Planning

PDF

Knowledge Check : Powerplanning

Knowledge Check : Powerplanning

Exercise

Routing

2 Exercises 4 Learning Materials

Routing

Routing

Video
2:16

Routing Flow

Video
3:37

Metal Layer Stacks

Video
1:57

Knowledge Check: routing

Exercise

Reference Book : Routing

Routing

PDF

Module Test 3: Floorplanning, Powerplanning, Placement, CTS, Routing

Module test 3: Floorplanning, Powerplan, Placement, CTS, Routing

Exercise

Synthesis and PDKs

2 Exercises 22 Learning Materials

Libraries and PDKs

NDM Libraries

Video
4:00

Library query

Video
026

Library file (.lib)

Video
1:40

Technology File (.tf)

Video
1:59

Layout Vs Frame View (lef Vs frame)

Video
3:12

Synthesis

SoC Design Flow -- Various abstraction levels

Video
4:19

What is Synthesis

Video
2:14

Synthesis Flow

Video
2:9

Knowledge Check : Synthesis and PDKs

Knowledge Check : Synthesis and PDKs

Exercise

Defining Timing Constraints using SDC

Defining Timing Constraints Using SDC

Video
2:36

Why SDC is so Important

Video
3:1

Different Sections of SDC -- Header

Video
2:36

Timing Constraints -- Base Generated and Virtual Clocks

Video
5:24

System Interface Commands

Video
2:39

Design Rule Constraints

Video
1:43

Timing Constraints -- Group path Uncertainty Jitter Latency

Video
4:29

Timing Constraints -- set input delay and set output delay

Video
3:34

Clock Domain Crossing (CDC)

Video
4:42

Timing Exceptions

Video
4:45

SDC Commands Classification in Fusion Compiler

Video
2:16

Module Test : Intro to PD, CMOS , Synthesis & PDK and DFT

Module Test : Intro to PD, CMOS , Synthesis & PDK andDFT

Exercise

Reference Books

Ref: Synthesis

PDF

Ref: Libraries and PDKs

PDF

Ref: SDC

PDF

Introduction to Physical Design

1 Exercises 9 Learning Materials

Introduction to Physical Design

Intro to VLSI

Video
6:19

Design Styles

Video
9:36

Partitioning

Video
5:12

Floor-planning

Video
5:34

placement

Video
5:34

CTS

Video
6:35

Routing

Video
4:21

Static Timing Analysis (STA)

Video
5:24

Knowledge Check : Introduction to PD

Exercise

Reference Book : Intro_to_Physical Design

Introduction to Physical Design

PDF

Course Instructor

tutor image

Sivakumar P R

17 Courses   •   1963 Students

CEO and Founder, Maven Silicon

Ratings & Reviews

4.3 /5

4 ratings

1 reviews

5

25%

4

75%

3

0%

2

0%

1

0%
R
Rounak

3 months ago

Best
HK
hemanshi katariya

3 months ago

VD
Vaishnavi deogade

3 months ago

Get in touch

We'd love to hear from you!

Email us

Our support team is here to help.


elearn@maven-silicon.com

Visit us

Come say hello at our office.

# 21/1A, III Floor, MS Plaza, Gottigere, 
Bannerghatta Road, Bangalore - 560076

Call us

Mon - Sat from 8am to 7pm

080 6909 6300